博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
verilog gtkwave
阅读量:4636 次
发布时间:2019-06-09

本文共 733 字,大约阅读时间需要 2 分钟。

gtkwave,开源波形显示软件

来自  博客。

- GTKWave's homepage (?):

- gEDA GTKWave:
  

以下网址下载win32版本GTKwave

具体用法:

windows命令行或者是cygwin下: gtkwave ***.vcd

另外,右键可以拉伸波形。

iverilog 是一个开源的verilog解释程序

    提供命令行的编译模式和文本界面的输出
    当然也可以写一点简单的makefile
      比如你的verilog 的源代码为test.v
在testbench中添加

$dumpfile("test.vcd");

$dumpvars(0, testbench.XX);
$dumpvars(1, testbench.XXX);
$dumpvars(2, testbench.XXXX);
     windows命令行下运行

      iverilog test.v      //可以跟参数

    就会生成a.out       //
    然后运行  ./a.out  //要在CODE中加入输出语句
   再用gtkwave test.vcd 即可查看生成的波形。

简要介绍下vcd,lxt.

gtkwave,icarus支持vcd,lxt,lxt2 dump.

vcd通用但vcd dump太大,gtkwave不太能很好的处理,导致崩溃。所以最好之前用lxt或将vcd转化为lxt格式。lxt格式是gtkwave的专用格式。gtkwave有带工具可以做此转化。

转载:http://www.eefocus.com/czzheng/blog/11-08/229642_734f4.html

转载于:https://www.cnblogs.com/chengqi521/p/8052423.html

你可能感兴趣的文章
关于Bonobo Git Server的安装
查看>>
在 sublime text 3 中添加 Emmet (ZenCoding)
查看>>
websphere内存溢出
查看>>
传入一个label或者button,传入5s,6和6+的文字尺寸 快速定义文字大小
查看>>
CSS进阶(二十):first-letter :first-line
查看>>
Spring Bean 定义继承
查看>>
Apache Rewrite规则详解
查看>>
IAAS云计算产品畅想-云主机的产品定位
查看>>
introduction of velocity
查看>>
cassandra vs mongo (1)存储引擎
查看>>
VUE router-view 页面布局 (嵌套路由+命名视图)
查看>>
[BZOJ 1053] 反素数
查看>>
MapWinGIS介绍
查看>>
Effective C++ 读书笔记
查看>>
checkbox做全选操作
查看>>
bzoj:1692 [Usaco2007 Dec]队列变换&&1640 [Usaco2007 Nov]Best Cow Line 队列变换
查看>>
poj 2778:DNA Sequence
查看>>
GMA Round 1 双曲线与面积
查看>>
wamp环境下pear的安装和使用
查看>>
IdentityServer4结合AspNetCore.Identity实现登录认证踩坑填坑记录
查看>>